Open-source simulator for the VHDL language. More information: https://ghdl.github.io/ghdl/.
ghdl -a filename.vhdl
design
is the name of a configuration unit, entity unit or architecture unit):ghdl -e design
ghdl -r design
ghdl -r design --wave=output.ghw
ghdl -s filename.vhdl
ghdl --help